青云英语翻译

选择语言:从 语种互换 检测语种 复制文本 粘贴文本 清空文本 百度查找
翻译结果1翻译结果2翻译结果3翻译结果4翻译结果5
正在翻译,请等待...
Error (10500): VHDL syntax error at qjq.vhd(3) near text "entity"; expecting an identifier ("entity" is a reserved keyword), or a string literal, or "all", or a character
Error (10500): VHDL syntax error at qjq.vhd(3) near text “entity”; expecting an identifier (“entity” is a reserved keyword), or a string literal, or “all”, or a character
: VHDL Error (10,500 ) syntax error at ( 3) near vhd qjq . " text " entity; expecting an entity identifier (" ") is a reserved keyword, or a string literal, or "all" or a character.
Error (10500): VHDL syntax error at qjq.vhd(3) near text "entity"; expecting an identifier ("entity" is a reserved keyword), or a string literal, or "all", or a character
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate] 
[translate]